Y. Alkabani and F. Koushanfar, Active hardware metering for intellectual property protection and security, USENIX Security, pp.291-306, 2007.

I. Mcloughlin, Reverse engineering of embedded consumer electronic systems, 2011 IEEE 15th International Symposium on Consumer Electronics (ISCE), pp.352-356, 2011.
DOI : 10.1109/ISCE.2011.5973848

J. A. Roy, F. Koushanfar, and I. Markov, EPIC, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.1069-1074, 2008.
DOI : 10.1145/1403375.1403631

J. Rajendran, H. Zhang, C. Zhang, G. S. Rose, Y. Pino et al., Fault Analysis-Based Logic Encryption, IEEE Transactions on Computers, vol.64, issue.2, pp.410-424, 2015.
DOI : 10.1109/TC.2013.193

J. Rajendran, Y. Pino, O. Sinanoglu, and R. Karri, Logic encryption: A fault analysis perspective, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.953-958, 2012.
DOI : 10.1109/DATE.2012.6176634

S. Dupuis, P. Ba, G. Di-natale, M. Flottes, and B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and Hardware Trojans, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS), pp.49-54, 2014.
DOI : 10.1109/IOLTS.2014.6873671

URL : https://hal.archives-ouvertes.fr/lirmm-01025275

G. Hachez, A comparative study of software protection tools suited for e-commerce with contributions to software watermarking and smart cards, 2003.

J. Rajendran, Y. Pino, O. Sinanoglu, and R. Karri, Security analysis of logic obfuscation, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.83-89, 2012.
DOI : 10.1145/2228360.2228377

R. S. Chakraborty and S. Bhunia, HARPOON: An Obfuscation-Based SoC Design Methodology for Hardware Protection, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.10, pp.1493-1502, 2009.
DOI : 10.1109/TCAD.2009.2028166

R. Torrance and D. James, The state-of-the-art in semiconductor reverse engineering, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.333-338, 2011.
DOI : 10.1145/2024724.2024805

M. Brzozowski and V. N. Yarmolik, Obfuscation as Intellectual Rights Protection in VHDL Language, 6th International Conference on Computer Information Systems and Industrial Management Applications (CISIM'07), pp.337-340, 2007.
DOI : 10.1109/CISIM.2007.51

U. Meyer-baese, E. Castillo, G. Botella, L. Parrilla, and A. Garca, Intellectual property protection (IPP) using obfuscation in C, VHDL, and Verilog coding, Independent Component Analyses, Wavelets, Neural Networks, Biosystems, and Nanoengineering IX, 2011.
DOI : 10.1117/12.884142

J. Rajendran, M. Sam, O. Sinanoglu, and R. Karri, Security analysis of integrated circuit camouflaging, Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, CCS '13, pp.709-720, 2013.
DOI : 10.1145/2508859.2516656

. Syphermedia, (2012, #mar#) Circuit camouflage technology

A. Baumgarten, A. Tyagi, and J. Zambreno, Preventing IC Piracy Using Reconfigurable Logic Barriers, IEEE Design & Test of Computers, vol.27, issue.1, pp.66-75, 2010.
DOI : 10.1109/MDT.2010.24

E. Jung, C. Hung, M. Yang, and S. Choi, An locking and unlocking primitive function of FSM-modeled sequential systems based on extracting logical property, International Journal of Information, vol.16, issue.8, pp.6279-6290, 2013.

M. T. Rahman, D. Forte, Q. Shi, G. K. Contreras, and M. M. Tehranipoor, CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly, 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp.46-51, 2014.
DOI : 10.1109/DFT.2014.6962096

A. Basak, Y. Zheng, and S. Bhunia, Active defense against counterfeiting attacks through robust antifuse-based on-chip locks, 2014 IEEE 32nd VLSI Test Symposium (VTS), pp.1-6, 2014.
DOI : 10.1109/VTS.2014.6818793

S. Davidson, ITC'99 Benchmark Circuits - Preliminary Results, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034), p.1125, 1999.
DOI : 10.1109/TEST.1999.805857

S. M. Plaza and I. L. Markov, Protecting integrated circuits from piracy with test-aware logic locking, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2014.
DOI : 10.1109/ICCAD.2014.7001361

S. P. Skorobogatov and R. J. Anderson, Optical Fault Induction Attacks, International Workshop on Cryptographic Hardware and Embedded Systems, 2002.
DOI : 10.1007/3-540-36400-5_2

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.9.5680

L. Bossuet, X. T. Ngo, Z. Cherif, and V. Fischer, A PUF Based on a Transient Effect Ring Oscillator and Insensitive to Locking Phenomenon, IEEE Transactions on Emerging Topics in Computing, vol.2, issue.1, pp.30-36, 2014.
DOI : 10.1109/TETC.2013.2287182

URL : https://hal.archives-ouvertes.fr/hal-00961503

S. S. Kumar, J. Guajardo, R. Maes, G. J. Schrijen, and P. Tuyls, The butterfly PUF protecting IP on every FPGA, IEEE International Workshop on Hardware-Oriented Security and Trust, pp.67-70, 2008.
DOI : 10.1109/hst.2008.4559053

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.458.2672

J. W. Lee, D. Lim, B. Gassend, G. E. Suh, M. Van-disk et al., A technique to build a secret key in integrated circuits for identification and authentication applications, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525), pp.176-179, 2004.
DOI : 10.1109/VLSIC.2004.1346548